英特尔展示8掩模版AI芯片:先进封装已可量产!

近日,英特尔晶圆代工部门(Intel Foundry)发布了一份引人注目的推广文件,旨在详细阐述其在人工智能(AI)和高性能计算(HPC)应用领域的尖端前端与后端技术。与此同时,英特尔也高调展示了一款名为“AI芯片测试载体”的实物,以此彰显公司当前在先进封装技术方面的实力。
这款“AI芯片测试载体”无疑展示了英特尔令人印象深刻的封装能力。据新媒网跨境获悉,该测试载体是一个尺寸达8个掩模版面积的系统级封装(SiP),其内部集成了四颗逻辑计算单元芯片(logic tiles)、十二堆HBM4级别的高带宽内存(HBM4-class stacks),以及两颗输入/输出(I/O)单元芯片。值得注意的是,与英特尔上月发布的包含十六颗逻辑计算单元芯片和二十四堆HBM5内存的宏大概念设计不同,本次展示的这一版本是“当下可量产的”设计,这为其商业化前景增添了实际意义。
首先,必须明确指出的是,英特尔晶圆代工部门此次展示的并非一款功能完备的AI加速器产品,而是一款纯粹用于技术验证的“AI芯片测试载体”。它的核心目的在于向业界展示未来AI和HPC处理器在物理层面如何构建,或者更精确地说,是如何进行组装。从深层意义上讲,英特尔正借此平台全面展示其芯片构建方法,即如何将大型计算单元芯片、高带宽内存堆栈、超高速芯片间互连以及全新等级的电源输送系统巧妙地整合到单个可量产的封装之内。这种封装方式与当前业界主流代工厂(如台积电)所提供的方案存在显著差异。简而言之,这一概念验证充分表明,下一代重型AI处理器将广泛采用多芯片设计,而英特尔晶圆代工部门已具备承接此类复杂制造任务的能力。
该测试平台的核心由四颗大型逻辑计算单元芯片构成,据推测这些芯片基于英特尔18A工艺技术制造。这意味着它们采用了创新的RibbonFET全环栅晶体管(gate-all-around transistors)架构以及PowerVia背面供电技术。这些逻辑计算单元芯片两侧分布着HBM4级别的高带宽内存堆栈和I/O单元芯片,它们之间通过嵌入在封装基板内部的EMIB-T 2.5D桥接技术实现连接。英特尔选择EMIB-T技术,是因为它在桥接内部集成了硅通孔(through-silicon vias),使得电源和信号不仅可以横向传输,也能垂直贯通,从而最大限度地提升了互连密度和供电效率。逻辑上,该平台旨在支持UCIe(通用芯粒互连Express)的芯片间接口,其运行速度可达32 GT/s及更高,这些接口似乎也用于连接推测为C-HBM4E的内存堆栈。
本次“AI芯片测试载体”的发布,也预示着英特尔在垂直整合领域迈出的重要步伐。根据英特尔的技术路线图,公司正在开发名为Intel 18A-PT的工艺技术,该技术专为需要堆叠其他逻辑芯片或内存芯片的基底芯片而设计。因此,18A-PT工艺必须具备背面供电、直通硅通孔(pass-through TSVs)和混合键合(hybrid bonding)等关键特性。在“AI芯片测试载体”的案例中,基于18A-PT工艺的基底芯片位于18A/18A-P工艺计算芯片的下方,可能扮演大容量缓存的角色,或执行额外的计算任务。
为了实现芯片之间的垂直互连,英特尔运用了其Foveros系列封装技术,包括Foveros 2.5D、Foveros-R和Foveros Direct 3D,这些技术能够实现不同有源芯片之间精细间距的铜-铜键合。结合EMIB桥接技术,这些方法使得英特尔能够构建一种独特的混合式横向与垂直组装结构。英特尔将这种方案定位为大型硅中介层(large silicon interposers)的替代方案,旨在提供更高的晶圆利用率和良品率。
对于多芯片AI和HPC加速器而言,电源输送效率是设计中最为核心的制约因素之一。为此,英特尔的平台整合了公司在电源相关技术方面的所有最新创新。其中包括PowerVia背面供电技术、芯片内部的Omni MIM电容器、EMIB-T桥接层面提供的去耦电容、基底芯片上的eDTC和eMIM-T电容器,以及嵌入式CoaxMIL电感器。这些技术的结合旨在支持“半集成式电压调节器”(semi-integrated voltage regulators, IVR)的设计,这些IVR被巧妙地部署在每个内存堆栈下方以及封装本身之下。这与外媒报道中台积电CoWoS-L方案中,IVR作为中介层一部分的设计理念有所不同。这种多层级的电源网络设计,其目标是有效应对生成式AI工作负载中电流的快速波动,确保电压裕度不会崩溃,并在必要时提供最大化且纯净的电源供给。
英特尔通过展示“AI芯片测试载体”的方式,无疑是为了吸引潜在客户。然而,新媒网跨境了解到,英特尔代号为“Jaguar Shores”的AI加速器产品计划于2027年推出,届时该产品是否会完全采用英特尔当前所展示的这种架构,仍需拭目以待。尽管如此,此次展示充分体现了英特尔在先进芯片制造和封装领域的深厚技术积累与创新实力,为公司在竞争激烈的AI芯片代工市场中占据一席之地奠定了基础。
新媒网(公号: 新媒网跨境发布),是一个专业的跨境电商、游戏、支付、贸易和广告社区平台,为百万跨境人传递最新的海外淘金精准资讯情报。
本文来源:新媒网 https://nmedialink.com/posts/intel-ai-chip-advanced-pkg-8-mask-ready.html


粤公网安备 44011302004783号 











